男生女生一起相差差差30免费观看电视剧名字,,,

 
以品質(zhì)為基石
以服務(wù)為宗旨
窯爐熱門關(guān)?鍵詞:?
/
管式爐 title="管式爐"
工業(yè)電窯爐 title="工業(yè)窯爐"
/
/
/
氣氛爐 title="氣氛爐"
/
推板爐 title="推板爐"
/
箱式爐 title="箱式爐"
燒結(jié)爐 title="燒結(jié)爐"

巨頭們的先進(jìn)封裝技術(shù)解讀

來源: | 作者:安徽富耐斯機(jī)電科技有限公司 | 發(fā)布時(shí)間: 2022-12-20 | 495 次瀏覽 | 分享到:

我們對(duì)市場(chǎng)上的先進(jìn)封裝需求進(jìn)行了一些討論。但其實(shí)具體到各個(gè)廠商,無論是英特爾(EMIB、Foveros、Foveros Omni、Foveros Direct)、臺(tái)積電(InFO-OS、InFO-LSI、InFO-SOW、 InFO-SoIS、CoWoS-S、CoWoS-R、CoWoS-L、SoIC)、三星(FOSiP、X-Cube、I-Cube、HBM、DDR/LPDDR DRAM、CIS)、ASE(FoCoS、FOEB)、索尼( CIS)、美光 (HBM)、SKHynix (HBM) 還是YMTC (XStacking),他們的封裝的各不相同,而且這些封裝類型也被我們所有最喜歡的 AMD、Nvidia 等公司使用。


在本文中,我們將解釋所有這些類型的封裝及其用途。

爐膛溫度曲線

倒裝芯片是引線鍵合后常見的封裝形式之一。由代工廠、集成設(shè)計(jì)廠商、外包組裝測(cè)試公司等多家公司提供。在倒裝芯片中,PCB、襯底或另一個(gè)晶片將具有著陸墊。然后把芯片準(zhǔn)確的放在上面,凸點(diǎn)用來接觸焊盤。之后將芯片送入回流焊爐,加熱元器件,回流焊凸點(diǎn),將兩者鍵合在一起。助焊劑被去除,底部填充料沉積在它們之間。這只是一個(gè)基本的工藝流程,因?yàn)榈寡b芯片有很多不同的類型,包括但不限于無助焊劑。

雖然倒裝芯片非常常見,但間距小于100微米的高級(jí)版本不太常見。關(guān)于第1部分中建立的高級(jí)封裝的定義,只有TSMC、三星、英特爾、Amkor和ASE涉及大量使用倒裝芯片技術(shù)的邏輯高級(jí)封裝。三家公司也生產(chǎn)完整的硅片,而另外兩家公司外包組裝和測(cè)試(OSAT)。

這個(gè)尺寸是大量不同類型的倒裝芯片封裝開始涌入的地方。我們將以TSMC為例,然后擴(kuò)展和比較其他公司與TSMC的包裝解決方案。TSMC所有包裝選項(xiàng)的最大差異與基板材料、尺寸、RDL和堆疊有關(guān)。

在標(biāo)準(zhǔn)倒裝芯片中,最常見的基板通常是有機(jī)層壓板,然后涂上銅。從這里開始,布線圍繞核心的兩側(cè)進(jìn)行,味之素積層薄膜(ABF)是討論最多的一個(gè)。內(nèi)核由許多層組成,負(fù)責(zé)在整個(gè)封裝中重新分配信號(hào)和電源。這些信號(hào)承載層通過干膜層壓和用CO2激光或紫外激光構(gòu)圖來構(gòu)造。

這是TSMC的專業(yè)知識(shí)開始發(fā)揮其綜合扇出(信息)。TSMC不使用ABF薄膜的標(biāo)準(zhǔn)工藝,而是使用與硅制造更相關(guān)的工藝。TSMC將使用東京電子涂布機(jī)/顯影劑、ASML光刻工具和應(yīng)用材料銅沉積工具來光刻定義再分布層。再分布層(RTL)比大多數(shù)OSAT能生產(chǎn)的更小更密集,因此它能容納更復(fù)雜的布線。這個(gè)過程被稱為扇出晶圓級(jí)封裝(FOWLP)。日月光是最大的OSAT。他們提供FoCoS(襯底上的扇出芯片),這是一種FOWLP。它還利用了硅制造技術(shù)。三星也有他們的扇出系統(tǒng)封裝(FOSiP),主要用于智能手機(jī)、智能手表、通訊和汽車。

通過InFO-R (RDL),TSMC可以封裝具有高IO密度、復(fù)雜布線和/或多芯片的芯片。使用InFO-R最常見的產(chǎn)品是蘋果iPhone和Mac芯片,但也有各種移動(dòng)芯片、通信平臺(tái)、加速器甚至網(wǎng)絡(luò)交換機(jī)ASIC。三星也憑借思科Silicon One在網(wǎng)絡(luò)交換機(jī)ASIC扇出市場(chǎng)勝出。InFO-R的進(jìn)步主要是擴(kuò)展到更大的封裝尺寸,功耗和IO更多。

有很多傳言稱AMD即將推出的Zen 4客戶端(如上圖所示)和服務(wù)器CPU將采用扇出封裝。半分析可以確認(rèn)基于Zen 4的臺(tái)式機(jī)和服務(wù)器產(chǎn)品將使用扇出。然后,扇出將被傳統(tǒng)地封裝在標(biāo)準(zhǔn)有機(jī)基板的頂部,并且基板的底部將具有LGA引腳。封裝這些產(chǎn)品的公司以及轉(zhuǎn)向扇出的技術(shù)原因?qū)⒃谏院蠼視浴?/p>

標(biāo)準(zhǔn)封裝將有一個(gè)核心基板,每側(cè)有2至5個(gè)再分布層(RDL),包括更先進(jìn)的集成扇出。TSMC的InFO-soi(集成襯底系統(tǒng))將這一概念推向了一個(gè)新的高度。它提供了多達(dá)14個(gè)再分布層(RDL),可以實(shí)現(xiàn)芯片之間非常復(fù)雜的布線。在靠近管芯的襯底上還有更高密度的布線層。

TSMC還提供InFO-SOW(片上系統(tǒng)),它允許扇出包含幾十個(gè)芯片的整個(gè)晶片的大小。我們用這種特殊的包裝形式寫了一篇關(guān)于Tesla Dojo 1的文章。在特斯拉去年AI日宣布這項(xiàng)技術(shù)的幾周前,我們還獨(dú)家披露了這項(xiàng)技術(shù)的使用情況。特斯拉將在HW 4.0中使用三星FOSiP。

最后,在TSMC的集成扇出產(chǎn)品線中,還有InFO-LSI(局部硅互連)。InFO-LSI是InFO-R,但是多個(gè)芯片下面有一塊硅片。這種局部硅互連將從多個(gè)管芯之間的無源互連開始,但它在未來可以發(fā)展成有源(晶體管和各種IP)。最終也會(huì)縮小到25微米,但我們認(rèn)為第一代不會(huì)出現(xiàn)這種情況。這種包裝的第一個(gè)產(chǎn)品將在后面展示。

立即想到的比較最有可能是英特爾的EMIB(嵌入式多芯片互連橋),但這并不是真正的最佳選擇。它更像英特爾的Foveros Omni或日月光的FOEB。我們來解釋一下。

英特爾的嵌入式多芯片互連橋被放置在傳統(tǒng)的有機(jī)基板腔中。然后繼續(xù)構(gòu)建襯底。雖然這可以由英特爾來完成,但EMIB的放置和構(gòu)建也可以由傳統(tǒng)的有機(jī)基板供應(yīng)商來完成。由于EMIB芯片上的大焊盤以及沉積疊層布線和通孔的方法,沒有必要將芯片非常精確地放置在基板上。

通過繼續(xù)使用現(xiàn)有的有機(jī)層壓板和ABF供應(yīng)鏈,英特爾放棄了更昂貴的硅基板材料和硅制造工藝。總的來說,這個(gè)供應(yīng)鏈?zhǔn)巧虡I(yè)化的,雖然目前由于短缺而相當(dāng)緊張。自2018年以來,英特爾的EMIB已經(jīng)在產(chǎn)品中出貨,包括Kaby Lake G,各種FPGA,Xe HP GPU和某些云服務(wù)器CPU,包括Sapphire Rapids。目前所有的EMIB產(chǎn)品都使用55微米,但是二代是45微米,三代是40微米。

英特爾可以通過這個(gè)芯片將功率推送到上述有源芯片。如果有必要,英特爾還可以靈活地設(shè)計(jì)封裝,使其在沒有EMIB和一些小芯片的情況下運(yùn)行。對(duì)Intel FPGA的一些拆解發(fā)現(xiàn),如果Intel出貨的SKU不需要,Intel是不會(huì)放置EMIB和active芯片的。這允許圍繞某些細(xì)分市場(chǎng)的BOM進(jìn)行一些優(yōu)化。

最后,英特爾可以通過僅在需要的地方使用硅橋來節(jié)省制造成本。這與TSMC的CoWoS形成鮮明對(duì)比,cow OS將所有芯片放在一個(gè)大型無源硅橋的頂部。后面會(huì)詳細(xì)介紹,但TSMC的InFO-LSI和英特爾的EMIB最大的區(qū)別在于基板材料和制造工藝的選擇。

更復(fù)雜的是,Sunmoon也有自己的2.5D封裝技術(shù),與英特爾的EMIB和TSMC的InFO-LSI完全不同。它用于AMD的MI200 GPU,該GPU將用于許多高性能計(jì)算機(jī),包括美國(guó)能源部的Frontier exascale系統(tǒng)。日月光半導(dǎo)體的FOEB封裝技術(shù)更類似于TSMC的InFO-LSI,因?yàn)樗彩巧瘸龅?。TSMC使用標(biāo)準(zhǔn)硅制造技術(shù)建造RDL。一個(gè)主要的區(qū)別是ASE使用玻璃基板,而不是硅。這是一種更便宜的材料,但它有一些其他的好處,我們將在后面討論。

ASE不是將無源互連芯片嵌入到基板的空腔中,而是放置芯片,構(gòu)建銅柱,然后構(gòu)建整個(gè)RDL。在RDL的頂部,有源硅GPU芯片和HBM芯片通過微凸點(diǎn)連接。然后,使用激光脫模工藝從封裝中去除玻璃夾層,然后在使用標(biāo)準(zhǔn)倒裝芯片工藝將其安裝在有機(jī)基板上之前,完成封裝的另一側(cè)。

關(guān)于ASE FOEB和EMIB已經(jīng)有了很多說法,但其中一些說法是完全錯(cuò)誤的??梢岳斫獾氖牵赵鹿庑枰N售他們的解決方案,但讓我們消除噪音。EMIB收益率不在80%到90%的范圍內(nèi)。EMIB的收益率接近100%。第一代EMIB確實(shí)對(duì)芯片數(shù)量有比例限制,但第二代則沒有。事實(shí)上,英特爾將發(fā)布有史以來最大的封裝,第二代EMIB的92mm x 92mm BGA封裝的高級(jí)封裝。通過在整個(gè)封裝中使用扇出和光刻定義的RDL,F(xiàn)OEB確實(shí)保留了布線密度和芯片到封裝凸點(diǎn)尺寸的優(yōu)勢(shì),但也更昂貴。

與TSMC相比,最大的區(qū)別似乎是原來的玻璃基板材料和硅。部分原因可能是日月光的成本更有限。日月光必須以較低的價(jià)格提供優(yōu)秀的技術(shù)來贏得客戶。TSMC是芯片大師,專注于他們熟悉的技術(shù)。TSMC有一種將技術(shù)推向極致的文化。在這種推動(dòng)下,他們最好選擇硅。

現(xiàn)在回到TSMC的其他先進(jìn)的包裝選擇,因?yàn)槲覀內(nèi)匀挥幸恍┦虑橐?。CoWoS平臺(tái)還包括CoWoS-R和CoWoS-L平臺(tái)。它們與InFO-R和InFO-L幾乎1比1對(duì)應(yīng)。兩者的區(qū)別更多的與工藝有關(guān)。信息是一個(gè)芯片過程,首先放置芯片,然后圍繞它構(gòu)建RDL。使用CoWoS,首先建立RDL,然后放置芯片。對(duì)于大多數(shù)試圖了解高級(jí)包裝的人來說,區(qū)別并不那么重要,所以今天我們將輕松地討論這個(gè)話題。

最大的亮點(diǎn)是CoWoS-S(硅夾層)。它包括采用一個(gè)已知良好的芯片,用圖案化導(dǎo)線將其倒裝封裝在無源晶片上。這就是CoWoS名字的由來,基片上的晶片。從長(zhǎng)遠(yuǎn)來看,是最大的2.5D封裝平臺(tái)。如第一部分所述,這是因?yàn)橛ミ_(dá)數(shù)據(jù)中心GPU如P100、V100和A100使用了CowOS-S,雖然英偉達(dá)銷量最高,但博通、谷歌TPU、亞馬遜Trainium、NEC Aurora、富士通A64FX、AMD Vega、Xillinx FPGA、英特爾Spring Crest和Habana Labs Gaudi只是CowOS使用的幾個(gè)顯著例子。大部分使用HBM計(jì)算的重型芯片,包括各種創(chuàng)業(yè)公司的AI訓(xùn)練芯片,都使用CoWoS。

為了進(jìn)一步說明CoWoS的受歡迎程度,這里有一些來自AIchip的引用。AIchip是一家臺(tái)灣省設(shè)計(jì)和ip公司,主要利用TSMC CoWoS平臺(tái)輔助與AI芯片相關(guān)的EDA、物理設(shè)計(jì)和生產(chǎn)能力。

TSMC甚至沒有參加所有與考沃斯產(chǎn)能相關(guān)的會(huì)議,因?yàn)門SMC已經(jīng)銷售了他們生產(chǎn)的所有產(chǎn)品,支持所有這些設(shè)計(jì)需要太多的工程時(shí)間。另一方面,TSMC擁有高度集中的客戶(Nvidia),因此TSMC希望與其他公司合作。AIchip有點(diǎn)像中間人。即使一級(jí)客戶(Nvidia)預(yù)訂了一切,AIchip仍有一些容量。即便如此,他們也只能得到自己想要的50%。

我們回頭看看英偉達(dá)在做什么。第三季度,它們的長(zhǎng)期供應(yīng)義務(wù)躍升至69億美元。更重要的是,英偉達(dá)預(yù)付了16.4億美元,未來還將再預(yù)付17.9億美元。Nvidia正在吞噬供應(yīng),尤其是對(duì)CoWoS。

回到技術(shù)上,CoWoS-S經(jīng)歷了多年的發(fā)展。主要特點(diǎn)是中間層的面積越來越大。因?yàn)镃oWoS平臺(tái)采用的是硅制造技術(shù),遵守的是被稱為掩膜限制的原理。193納米ArF光刻工具可印刷的最大尺寸為33毫米x 26mm毫米(858毫米2)。硅內(nèi)插器的主要用途也是由光刻定義的,即非常密集的導(dǎo)線連接位于其上的芯片。Nvidia的芯片已經(jīng)接近標(biāo)記極限,但仍需要連接封裝的高帶寬內(nèi)存。

上圖包含一個(gè)Nvidia V100,是Nvidia四年前推出的GPU。它的面積是815平方毫米。一旦HBM被包括在內(nèi),它將超過光刻工具可以打印的掩模限制,但TSMC想出了如何連接它們。TSMC通過拼接面具來做到這一點(diǎn)。TSMC在這里增強(qiáng)了他們的能力,可以提供3倍硅插入物大小的掩模。鑒于標(biāo)記拼接的局限性,英特爾EMIB、TSMC LSI和日月光FOEB方法具有優(yōu)勢(shì)。它們也不必像大型硅內(nèi)插器那樣處理大量成本。

除了增加掩模尺寸,他們還進(jìn)行了其他改進(jìn),例如將微凸點(diǎn)從焊料改為銅以提高性能/功效、iCap、新的TIM/ cover封裝等。

關(guān)于TIM/封面包裝有個(gè)有趣的故事。在Nvidia V100上,Nvidia有一個(gè)無處不在的HGX平臺(tái),它可以被運(yùn)送到許多服務(wù)器ODM,然后再運(yùn)送到數(shù)據(jù)中心。為獲得正確的安裝壓力,可以施加到冷卻器螺釘上的扭矩非常具體。這些服務(wù)器ODM過度擰緊了這些10,000美元GPU上的冷卻器和芯片。Nvidia A100轉(zhuǎn)移到芯片上有蓋子的封裝,而不是直接冷卻芯片。當(dāng)Nvidia的A100和未來的Hopper DC GPU仍然需要散發(fā)大量熱量時(shí),這種封裝的問題就會(huì)出現(xiàn)。為了解決這個(gè)問題,TSMC和英偉達(dá)在包裝方面做了很多優(yōu)化。

三星也有類似于CoWoS-S的I-Cube技術(shù)..三星唯一使用該套餐的主要客戶是百度的AI加速器。

接下來,我們有福韋羅斯。這是英特爾的3D芯片堆疊技術(shù)。Foveros不是一個(gè)在另一個(gè)模具上移動(dòng)的模具,而后者本質(zhì)上只是一個(gè)密集的電線。Foveros涉及兩個(gè)包含移動(dòng)元素的模具。借此,英特爾第一代Foveros于2020年6月在萊克菲爾德混合CPU SOC上市。這個(gè)芯片不是一個(gè)特別大容量或令人驚嘆的芯片,但它是英特爾的許多第一批芯片,包括3D封裝和他們的第一個(gè)混合CPU核心架構(gòu),一個(gè)高性能核心和一個(gè)低效率核心。它使用55微米的凸點(diǎn)間距。

下一個(gè)Foveros產(chǎn)品是Ponte Vecchio GPU,在多次推遲后應(yīng)該會(huì)在今年推出。它將包括47個(gè)不同的有源小芯片,采用EMIB和Foveros封裝。Foveros芯片到芯片連接使用36微米的凸點(diǎn)間距。

未來,英特爾的大部分客戶端陣容都將采用3D堆棧技術(shù),包括代號(hào)為流星湖、箭湖和月亮湖的客戶端產(chǎn)品。流星湖將是第一個(gè)具有Foveros Omni和36微米凸點(diǎn)間距的產(chǎn)品。第一個(gè)采用3D堆棧技術(shù)的數(shù)據(jù)中心CPU叫鉆石激流,名字叫花崗巖激流。在本文中,我們將討論其中一些產(chǎn)品使用的節(jié)點(diǎn)以及英特爾和TSMC之間的關(guān)系。

foo OS omni的全稱是foo OS全向互聯(lián)(ODI)。它彌補(bǔ)了EMIB和Foveros之間的差距,同時(shí)提供了一些新的功能。Foveros Omni可以作為另外兩個(gè)芯片之間的有源橋接芯片,可以作為完全在另一個(gè)芯片下面的有源芯片,也可以作為在另一個(gè)芯片上面但懸空的芯片。

Foveros Omni從來沒有像EMIB那樣嵌入基板,無論如何都是完全在基板之上的。堆疊式會(huì)導(dǎo)致封裝基板與位于其上的芯片之間的連接高度不同的問題。英特爾開發(fā)了一種銅柱技術(shù),允許他們將信號(hào)和功率傳輸?shù)讲煌腪高度,并通過芯片傳輸,這樣芯片設(shè)計(jì)師在設(shè)計(jì)3D異構(gòu)芯片時(shí)可以有更多的自由。Foveros Omni將從36微米的凸點(diǎn)間距開始,但在下一代中將會(huì)減少到25微米。

我們要注意的是,DRAM也采用了先進(jìn)的3D封裝。HBM多年來一直在三星、SK海力士、美光使用先進(jìn)封裝。制造存儲(chǔ)單元并將其連接到暴露并形成有微凸塊的TSV。最近,三星甚至開始推出DDR5和LPDDR5X堆棧,使用類似的堆棧技術(shù)來增加容量。SKHynix正在將混合結(jié)合引入其HBM 3。SKHynix將把12個(gè)芯片粘合在一起,每個(gè)芯片的厚度約為30微米,采用混合粘合TSV。

混合鍵合是一種不使用凸點(diǎn),而是將芯片直接連接到硅通孔的技術(shù)。如果我們回到倒裝芯片工藝,芯片之間的區(qū)域沒有凸點(diǎn)形成、焊劑、回流或模內(nèi)填充。銅與銅直接相遇。實(shí)際過程非常困難,如上一節(jié)所述。在本系列的下一部分,我們將深入研究工具生態(tài)系統(tǒng)和混合綁定類型。與之前描述的任何其他封裝方法相比,混合鍵合可以實(shí)現(xiàn)更密集的集成。

最著名的混合綁定芯片當(dāng)然是AMD最近宣布的3D堆棧緩存,將于今年晚些時(shí)候發(fā)布。這利用了TSMC的SoIC技術(shù)。英特爾的混合綁定品牌叫Foveros Direct,三星的版本叫X-Cube。Global Foundries公開了一種使用混合鍵合技術(shù)的Arm測(cè)試芯片。產(chǎn)量最高的混合鍵合半導(dǎo)體公司不是TSMC,今年甚至明年也不會(huì)是TSMC?;旌险澈闲酒鲐浟孔畲蟮墓酒鋵?shí)是擁有CMOS圖像傳感器的索尼。事實(shí)上,假設(shè)你有一部高端手機(jī),你的口袋里可能有一個(gè)包含混合粘合CMOS圖像傳感器的設(shè)備。如第1部分所述,索尼已將間距降至6.3微米,而AMD的V-cache間距為17微米。

索尼目前提供2棧和3棧版本。2在堆棧中,像素在電路的頂部。在3層堆棧版本中,像素堆疊在電路頂部的DRAM緩沖區(qū)高速緩存的頂部。由于索尼希望將像素晶體管從電路中分離出來,并創(chuàng)建一個(gè)具有多達(dá)4層硅的更先進(jìn)的相機(jī),這一進(jìn)展仍在繼續(xù)。由于其CMOS圖像傳感器業(yè)務(wù),三星是混合粘合芯片的第二大出貨量。

粘合的另一個(gè)大規(guī)模應(yīng)用是長(zhǎng)江存儲(chǔ)技術(shù)公司的Xtacking。YMTC使用晶圓到晶圓鍵合技術(shù)將CMOS外圍堆疊在與非門之下。我們?cè)谶@里詳細(xì)介紹了這項(xiàng)技術(shù)的好處,但簡(jiǎn)而言之,它允許YMTC在給定一定數(shù)量的NAND層的情況下安裝更多的NAND單元,而不是任何其他NAND制造商,包括三星、SK海力士、美光、Kioxia和西部數(shù)據(jù)。

關(guān)于各種類型的倒裝芯片、熱壓焊接和混合焊接工具有很多要說的,但是我們將在下一篇文章中討論這些。投資者對(duì)Besi Semiconductor、ASM Pacific、Kulicke and Soffa、EV Group、蘇斯中晶、SET、Shinkawa、Shibaura和Applied Materials的常見理解是不正確的。這里各個(gè)公司和包裝類型使用的工具的多樣性非常廣泛。但贏家并不像看起來那么明顯。


新聞資訊?

???窯爐知識(shí)

KNOWLEDGE

當(dāng)前位置:??
最新動(dòng)態(tài)
熱門話題
双峰县| 射洪县| 忻城县| 贡嘎县| 山东| 荥经县| 大连市| 临清市| 鹤庆县| 桦南县| 凉山| 仪征市| 绍兴市| 黄浦区| 城市| 定西市| 长春市| 安乡县| 仪征市| 铜陵市| 南和县| 东兴市| 二连浩特市| 恭城| 平阳县| 古丈县| 邛崃市| 随州市| 兰州市| 镇宁| 讷河市| 邯郸县| 漯河市| 平定县| 定陶县| 沛县| 巴彦淖尔市| 广河县| 尼玛县| 五莲县| 宝清县|